Icarus Verilog

Icarus Verilog — компилятор языка описания аппаратуры Verilog. Он поддерживает версии 1995, 2001 и 2005, частично SystemVerilog и некоторые расширения. Используется для симуляции и верификации проектов. Кроме того, в версиях с 0.2 по 0.8 мог использоваться для синтеза (в формат XNF), для ПЛИС Xilinx.

Icarus Verilog
Тип Компилятор и симулятор Verilog
Автор Stephen Williams
Написана на С++
Операционная система Кроссплатформенное программное обеспечение
Первый выпуск 1998
Последняя версия 10.1 (7 февраля 2016)
Лицензия GPL
Сайт iverilog.icarus.com

Icarus Verilog доступен для OpenSolaris x86, FreeBSD, Linux, AIX, Windows и Mac OS X. Выпускается под лицензией GNU General Public License.

Версии Icarus 0.9 включает iverilogкомпилятор Verilog с препроцессором и плагинами и виртуальную машину vvp для симуляции. vvp поддерживает интерфейс Verilog VPI (Verilog PLI 2.0) для интеграции с программами на языке Си.[1]

В 2004 году являлся одним из 10 наиболее популярных симуляторов для Verilog.[2]

См. также

Примечания

  1. Архивированная копия (недоступная ссылка). Дата обращения: 19 августа 2015. Архивировано 2 июня 2013 года.
  2. Modelsim, VCS, NC-SIM, Aldec, Icarus, SynaptiCAD, Wellspring 05/26/04

Ссылки

This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.